# -------------------------------------------------------------------------- # # # Copyright (C) 1991-2013 Altera Corporation # Your use of Altera Corporation's design tools, logic functions # and other software and tools, and its AMPP partner logic # functions, and any output files from any of the foregoing # (including device programming or simulation files), and any # associated documentation or information are expressly subject # to the terms and conditions of the Altera Program License # Subscription Agreement, Altera MegaCore Function License # Agreement, or other applicable license agreement, including, # without limitation, that your use is for the sole purpose of # programming logic devices manufactured by Altera and sold by # Altera or its authorized distributors. Please refer to the # applicable agreement for further details. # # -------------------------------------------------------------------------- # # # Quartus II 32-bit # Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition # Date created = 14:05:30 August 23, 2020 # # -------------------------------------------------------------------------- # # # Notes: # # 1) The default values for assignments are stored in the file: # lapci_isa_ga_assignment_defaults.qdf # If this file doesn't exist, see file: # assignment_defaults.qdf # # 2) Altera recommends that you do not modify this file. This # file is updated automatically by the Quartus II software # and any changes you make may be lost or overwritten. # # -------------------------------------------------------------------------- # set_global_assignment -name FAMILY MAX7000S set_global_assignment -name DEVICE "EPM7032STC44-10" set_global_assignment -name TOP_LEVEL_ENTITY "lapci_isa_ga" set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1" set_global_assignment -name PROJECT_CREATION_TIME_DATE "14:05:30 AUGUST 23, 2020" set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1" set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files set_global_assignment -name OPTIMIZE_HOLD_TIMING OFF set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING OFF set_global_assignment -name FITTER_EFFORT "STANDARD FIT" set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL OFF set_global_assignment -name VERILOG_FILE "lapci_isa_ga.v" set_global_assignment -name MAX7000_DEVICE_IO_STANDARD TTL set_location_assignment PIN_12 -to isa_db[0] set_location_assignment PIN_13 -to isa_db[1] set_location_assignment PIN_14 -to isa_db[2] set_location_assignment PIN_15 -to isa_db[3] set_location_assignment PIN_18 -to isa_db[4] set_location_assignment PIN_20 -to isa_db[6] set_location_assignment PIN_21 -to isa_db[7] set_location_assignment PIN_8 -to isa_nhcs set_location_assignment PIN_5 -to isa_nhrd set_location_assignment PIN_6 -to isa_nhwr set_location_assignment PIN_22 -to mpu_nreset set_location_assignment PIN_31 -to mpu_data[7] set_location_assignment PIN_33 -to mpu_data[6] set_location_assignment PIN_19 -to isa_db[5] set_location_assignment PIN_35 -to mpu_data[4] set_location_assignment PIN_42 -to mpu_data[3] set_location_assignment PIN_43 -to mpu_data[2] set_location_assignment PIN_44 -to mpu_data[1] set_location_assignment PIN_2 -to mpu_data[0] set_location_assignment PIN_34 -to mpu_data[5] set_location_assignment PIN_23 -to mpu_nidr set_location_assignment PIN_25 -to mpu_nidw set_location_assignment PIN_27 -to mpu_crr set_location_assignment PIN_28 -to mpu_drr set_location_assignment PIN_30 -to mpu_dsr set_location_assignment PIN_10 -to isa_ba0 set_location_assignment PIN_3 -to isa_nreset set_location_assignment PIN_11 -to isa_irq